黄冈职业技术学院2009~2010学年度第二学期 《EDA技术与应用》期末考试参考答案(A卷)
一、单项选择题(每小题2分,共20分)
1、B 2、D 3、B 4、B 5、B 6、B 7、D 8、A 9、B 10、C
二、填空题(每小题1分,共16分)
1、MOS、CMOS 和 ASIC 。2、设计输入、设计实现、 实际设计检验和 下载编程。 3、图形输入 、 HDL文本输入 和 状态机输入。
4、 变量、常量和 信号 。5、 仿真 。6、 .VHD 。7、 实体名。 三、简答题(每小题6分,共12分)
1、EDA是电子设计自动化。VHDL是超高速硬件描述语言。FPGA 是现场可编程门阵列 2、库:用了来专门存放预编译程序包的地方;实体描述了与外部电路进行接口的信息;结构体描述了实体所有执行的行为。
四、下面程序描述是一T触发器,共有五处,请将错误的行数和改正的结果填在下面的空格。(每空2分,共20分)
第一处在 1 行,改正为 library IEEE ;
第二处在 2 行,改正为 use ieee.std_logic_1164.all; ; 第三处在 5 行,改正为 q : buffer std_logic ); ;
第四处在 10 行,改正为 BEGIN ; 第五处在 14 行,改正为 ELSE ;
五、分析题(每小题6分,共12分)
1、 与非门 2、 二选一选择器
六、程序设计题(第一题每空5分,第二题10分,共20分) 1、 a,b: in STD_LOGIC;
WHEN OTHERS => Y<=NULL 或者When others => y <='X' 2、library ieee;
use ieee.std_logic_1164.all; entity fenpin2 is port(a:in std_logic;
f:buffer std_logic); end;
architecture aaa of fenpin2 is begin
process(a) begin
if a’event and clk=‘0’ then f<=not f; else null; end if;
end process;end;
第 1 页 共 4 页
因篇幅问题不能全部显示,请点此查看更多更全内容