华中师范大学汉口分校
本科毕业论文
题目 基于FPGA的QPSK调制解调系统的设计
院 (系) 电子信息与通信工程学院 专 业 通信工程 年 级 2006级 学生姓名 张 斌 学 号 2006913093 指导教师 刘可文
2010 年 6 月
华中师范大学汉口分校 学位论文原创性声明
本人郑重声明:所呈交的学位论文是本人在导师指导下独立进行研究工作所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。本人完全意识到本声明的法律后果由本人承担。
学位论文作者签名: 日期: 年 月 日
学位论文版权使用授权书
本学位论文作者完全了解学校有关保障、使用学位论文的规定,同意学校保留并向有关学位论文管理部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权省级优秀学士学位论文评选机构将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。
本学位论文属于
1、保密 □ ,在_____年解密后适用本授权书。 2、不保密 □。
(请在以上相应方框内打“√”)
学位论文作者签名: 日期: 年 月 日 导师签名: 日期: 年 月 日
目 录
内容摘要„„„„„„„„„„„„„„„„„„„„„„„„„„„„„1 关键字 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„1 Abstract„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„1 Key words„„„„„„„„„„„„„„„„„„„„„„„„„„„„„1 1.绪论„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„2 1.1.研究背景„„„„„„„„„„„„„„„„„„„„„„„„„2 1.2.数字调制技术的发展现状及趋势„„„„„„„„„„„„„„„3 1.3.基于FPGA的QPSK调制解调系统的研究意义„„„„„„„„„„„„4 2.QPSK数字调制的原理„„„„„„„„„„„„„„„„„„„„„„„5 2.1.四相绝对移相键控(QPSK)的基本原理„„„„„„„„„„„„5 2.2.QPSK的产生方法„„„„„„„„„„„„„„„„„„„„„„„6 3.QPSK调制电路的FPGA实现„„„„„„„„„„„„„„„„„„„„„9 3.1.基于FPGA的QPSK调制电路图的设计„„„„„„„„„„„„„„9 3.2. 基于FPGA的QPSK调制电路VHDL程序设计„„„„„„„„„„„„10 4. QPSK解调电路的FPGA实现„„„„„„„„„„„„„„„„„„„11 4.1.基于FPGA的QPSK解调电路图的设计„„„„„„„„„„„„„„11 4.2. 基于FPGA的QPSK解调电路VHDL程序设计„„„„„„„„„„„„12 5.结束语„„„„„„„„„„„„„„„„„„„„„„„„„„„„„14 参考文献„„„„„„„„„„„„„„„„„„„„„„„„„„„„15 致谢„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„16
内容摘要: 数字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。QPSK数字调制技术,具有频谱利用率高、频谱特性好、抗干扰性能强、传输速率快等突出特点,在移动通信、卫星通信中具有广泛应用价值,但是基于FPGA的全数字QPSK调制解调仍在进一步研究发展中。
文中对基于FPGA的全数字QPSK通信系统进行了研究,介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。
关键词:QPSK FPGA 调制 解调
Abstract:Technology of digital modulation and demodulation plays a important role in digital communication system, the combination of digital communication technology and FPGA is a certainly trend .QPSK digital modulation technique as features of high-spectrum utilization ratio,better spectrum specification ,stronger anti—interference performance and faster baudra. So the modem method has been applied widely in mobile communication system and satellite communication system But all-digital QPSK moderm based on FPGA is searching and developing.
An all—digital communicating system of QPSK based on FPGA has been researched and designed in this dissertation ,the paper introduces the principle of QPSK modulation and demodulation,the circuit are also be realized based on FPGA.
Key words:QPSK FPGA Modulation Demodulation
- 1 -
1绪论
1.1研究背景
通信技术融入计算机和数字信号处理技术以后发生了革命性的变化,它和计算机技术、数字信号处理技术结合是现代通信技术的标志。广义上讲,用任何方法,通过任何传输媒质将消息从一个地方传送到另一个地方,均可称为通信。通信的目的是为了进行消息的有效传递与交换。直到19世纪初,人们开始利用电信号传输消息。从1837年莫尔斯(F.B.Morse)发明电报算起,一个世纪以来,通信的发展大致经历了三大阶段,首先,以1837年发明电报(莫尔斯电码)为标志的通信初级阶段;其次,以1948 年香农(Sharmon)提出的信息论开始的近代通信阶段;最后,以20世纪70年代出现的光纤通信为代表的和以综合业务数字网迅速崛起为标志的现代通信阶段。光纤通信技术、卫星通信技术和移动通信技术形成现代通信技术的三大主要发展方向。数字调制技术作为这些领域中极为重要的一个方面,也得到了迅速发展。全数字调制解调器专用集成电路使得通信传输中的发送与接收设备可以更加紧凑,成本更低,减小功耗并大大提高设备的可靠性。另一方面,全数字调制解调技术的采用有可能使各类现代调制解调技术融合一体,使该调制解调器不仅适用于各类调制体制,而且速率也可变。目前国内多速率/多制式智能调制解调器己有一些研究成果和芯片问世。但是,国内的产品大多基于通用DSP实现,支持的速率比较低。由于运算量较大和硬件参数的限制,采用通用DSP或普通算法无法胜任高速率调制解调的任务。随着数字调制技术的出现,在有限的带宽内传输高速的数据己成为可能,并且比过去使用的模拟调制,如调幅(AM)和调频(FM)、频移键控(FSK)、开关键控(OOK)、脉宽调制(PWM)、脉位调制(PPM)、脉幅调制(PAM)等技术相比有更高的可靠性和抗扰性。 新型的数字调制与过去的一些离散数字,模拟调制技术有很多相同的地方。像开关键控和频移键控,它们在离散的时间上有离散的状态——无论这些状态是幅度、相位还是幅度/相位。通过这些状态可以定义被传送的信息,同时这些状态的数量可以决定链路能传输的数据量。然而,数字调制可以只被看作是正交幅度调制(QAM)、正交相移键控(QPSK)、二进制相移键控(BPSK)以及由这些技术派生的调制方法。因此,本课题选择正交相移键控(QPSK)作为调制解调方式,在此基础上
- 2 -
深入的研究全数字化QPSK。
1.2 数字调制技术的发展现状及趋势
经过几十年的发展,数字化接收机取得了长足的进步。例如德国R/S公司的宽带数字化接收机EDB900,用于无线电监视工作频率20MHz-2GHz,搜索速度4GHz/s (25Hz带宽)。又如英国研制的PVS3800接收机,工作频率范围0.5MHz-1GHz,可以用于监听、识别和分析等功能,还可以根据需要下载不同的软件配置成不同的接收机、截获机等。
目前国内外己有一些关于全数字QPSK调制解调器方面的研究成果和芯片问世。国外的如ST公司的ST550,ST5518;比利时Newtec公司的NTC-2077/FT;OKI公司的 MSM7582TS;美国休斯公司的BCD4C—M5000;美国HARRIS公司和德国Hirschmann 赫斯曼公司也都有相关的专用芯片。国内的如北京海尔集成电路设计有限公司研制的符合DVB—S标准的卫星信道解码器HQPSK-DVB。该芯片包括载波恢复、符号同步、解调、前向纠错和码流解扰。
Intel公司的STEL—2176是一款全数字调制解调芯片,兼容IEEE802.14、MCNS和DAVIC等标准。解调部分可直接输入高达50MHz的中频模拟信号,信号带宽可达8MHz,可解调16/64/256QAM的连续信号;调制部分可输出5MHz-65MHz的连续/突发信号,调制方式可以是BPSK/QPSK/QAM,速率最高可达40Mbps(16QAM)。
清华大学微波与数字通信国家重点实验室用ASIC实现了一种参数可变 BPSK/QPSK数字突发调制器,具体的是使用2片Altera公司的FLEXl0K系列的芯片来具体实现该数字调制器设计,并搭建了外围调试用板级电路。该BPSK/QPSK数字突发调制器的性能参数指标为:支持可变速率,调制信号的符号速率允许在2k-2MBaud之间ll级可交,其它速率通过更改工作主时钟实现(最高支持到主时钟19.6608MHz符号速率在2.4k-2.4576MBaud范围间ll级可变,最高码速率在QPSK下达到 4.9152Mbit/s;平方根升余弦落降成形的滚降系数可在0.2—1.0之间任意设置;输出I/Q两路12bit/s量化的数字信号;支持连续、突发两种模式。突发模式下,同步头长度、首独特字可以分别由用户设置;支持BPSK和QPSK两种调制方式。
- 3 -
但是这些芯片基本都是针对某些特定应用设计的,只能够在比较小的范围内调整。而用可编程器件实现的全数字调制解调器则可以说是一种用户全定制的调制解调方案:所有的参数都可以按照每个特定的用户来修改,做到最优化。此外,FPGA还具有静态可重复编程和动态系统重构的特性,使得硬件的功能可以像软件一样编程修改,极大的提高了电子系统设计的灵活性和通用性。
1.3基于FPGA的QPSK调制解调系统的研究意义
FPGA器件(Field Programmable Gate Array) 是八十年代中期出现的一种新概念。利用FPGA技术设计的产品具有重量轻、体积小、速度快、保密程度高、功耗低等特点,能较大地提高产品的性价比和竞争力,大大缩短设计周期,减少设计费用,降低设计风险。
数字调制信号又称为键控信号,调制过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,最基本的方法有3种:正交幅度调制(QAM)、频移键控(FSK)、相移键控(PSK)。据所处理的基带信号的进制不同分为二进制和多进制调制(M进制)。多进制数字调制与二进制相比,其频谱利用率更高。文中QPSK(即4PSK)是MPSK(多进制相移键控)中应用最广泛的一种调制方式。本文研究了基于FPGA的QPSK调制解调电路的设计。随着电子技术的不断发展与进步,电子系统设计方法发生了很大变化,传统的设计法正退出历史舞台,而基于EDA技术的芯片设计正在成为电子系统设计的主流。随着现代信息技术的发展,模拟调制技术越来越不能满足日益发展的移动通信、视频信号传输以及卫星通信的要求,数字调制技术日益得到重视。数字调制技术具有模拟调制方式的所有优点,信链路中的任何不足均可以借助于软件根除,不仅可实现信息加密,且通过误差校准技术,使接收到的数据更加可靠。基于数字调制技术的微控制器可减小分配给每个通信设备有限带宽,频率利用率得以提高。如同模拟调制,数字调制可以分为频移键控(FSK) 调制、相移键控(PSK) 调制和幅度键控(ASK) 调制,性能各有千秋。由于频率、相位调制对噪声抑制更好,因此成为当今大多数通讯设备的首选方案。
- 4 -
2 QPSK数字调制的原理
2.1四相绝对移相键控(QPSK)的基本原理
2PSK信号的表达式s(t)=A cosw0t中载波的相位可以等于0或。将其推广到QPSK,可以取多个可能值。所以,QPSK信号码元可以表示为:
(式1) St=A cosw0t
式中,为受调制的相位,其值决定于基带码元的取值;A为信号振幅,为常数;=4
不失一般性,我们令式1中的A=1,然后将其展开写成:
(式2) St=A cosw0t=acosw0tbsinw0t 式中,a= cos ;b=sin。
式2表明,QPSK信号码元St可以看作有正弦和余弦两个正交分量合成的信号,它们的振幅分别为a和b,而a和b分别有M个不同取值。也就是说,QPSK信号码元可以看作两个QASK信号码元之和。
四相绝对移相调制利用载波的四种不同相位来表征数字信息。由于每一种载波相位代表两个二进制代码元信息,故每个四进制码元又被称为双比特码元。设组成双比特码元的前一位码元为 a,后一位为b,可以将该双比特码元表示为ab。由于ab通常是按格雷码的规则排列的,故它与载波相位的对应关系如表1所示,相应的向量关系如图2.1所示。图(a)表示A方式时QPSK的向量图,(b)表示B方式时QPSK信号的向量图。 表 1双比特码元载波相位的关系。
- 5 -
表1 QPSK编码规则
a b A方式 0 1 1 0 0 0 1 1 0 1 B方式 - 43 2 - 4411 - 21 4310 01 00 11 11 参考相位 00 参考相位 01 10 (a)A方式 (b)B方式
图2.1 QPSK信号的矢量图
2.2 QPSK的产生方法
QPSK信号有00、01、10、11四种状态。所以,对输入的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们。QPSK信号实际上是两路正交双边带信号,可由图2.2所示方法产生。
QPSK调制方案调制有直接调相法和相位选择法两种。 方案一.直接调相法
- 6 -
直接调相法是用串/并转换器将输入的二进制序列依次分为两个并行的双极性序列。设两个序列中的二进制数字分别为a和b,每一对ab称为一个比特码元。双极性序的a和b脉冲通过两个平衡调制解调器分别对同相载波及正交载波进行二相调制。将两路输出叠加,即得QPSK信号。 a 相乘电路 cos0t载波产生 A(t) 串/并变换 1/2移相 相加电路 S(t) -sin0t 相乘电路 b
图2.2直接调相法组成方框图
方案二.相位选择法
相位选择法是用四相载波发生器分别送出调相所需的四种不同相位的载波,其组成方框图如图2.3所示。按照串/并变换器输出的双比特码元的不同,逻辑选相的电路输出相应相位的载波,即可得到QPSK信号。
- 7 -
输入 串/并转换 逻辑选相电路 带通滤波器 输出 090180270四相载波发生器 图2.3 相位选择法组成方框图
以上两种方案既可以用纯硬件电路来实现,也可以用编程的方法来实现。但考虑到如果采用纯硬件电路实现的话,电路比较复杂,系统的抗噪声性能比较差,总体性能受到局部器件的影响也比较大。所以,本设计采用编程的方法来实现。但由于很难通过直接调相法建立模型,因此,本设计采用“相位选择法”,用软硬结合的方式来实现QPSK调制解调电路。根据相位选择法的原理,可以得到调制系统功能方框图。QPSK调制系统的功能方框图如图2.4所示,QPSK调制器模型主要由串/并转换器、分频器和四选一开关等组成。
Clk 相 位 发 生 器 Start 0 90 180270 基带信号 串/并转换 四选一开关 调制信号
图2.4 QPSK调制系统的功能方框图
- 8 -
QPSK信号是两个正交的2PSK信号的合成,所以可仿照2PSK信号的相平解调法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成QPSK信号的解调,解调过程如图2.5所示。
低通 滤波 抽样判决 带通 滤波 1/2 载波提取 定时抽样 并/串变换 低通 滤波 抽样判决 图2.5 QPSK信号解调原理图
3 QPSK调制电路的FPGA设计
3.1基于FPGA的QPSK调制电路方框图
基带信号通过串/并转换器得到2位并行信号,四选一开关根据该数据,选择载波对应的相位进行输出,即得到调制信号,调制框图如图3.1所示。
- 9 -
CLK FPGA 分 频 Start 0 90 180 270 基带信号 串/并转换 四选一开关 调制信号 图3.1 QPSK调制电路方框图
3.2基于FPGA的调制电路VHDL程序 entity modulation is
port(clk :in std_logic; --系统时钟 start :in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic; --调制信号 end modulation;
architecture behav of modulation is
signal q:integer range 0 to 7; --计数器 signal xx:std_logic_vector(1 downto 0); --中间寄存器 signal yy:std_logic_vector(1 downto 0); --2位并行码寄存器 signal f:std_logic_vector(3 downto 0); --载波f begin
process(clk) --通过对clk分频,得到4种相位;并完成基带信号的串并转换 begin
if clk'event and clk='1' then if start='0' then q<=0;
- 10 -
elsif q=0 then q<=1;f(3)<='1'; f(1)<='0'; xx(1)<=x;yy<=xx; elsif q=2 then q<=3;f(2)<='0'; f(0)<='1';
elsif q=4 then q<=5;f(3)<='0'; f(1)<='1'; xx(0)<=x; elsif q=6 then q<=7;f(2)<='1'; f(0)<='0'; else q<=q+1; end if; end if; end process;
y<=f(0) when yy=\"11\" else f(1) when yy=\"10\" else f(2) when yy=\"01\" else
f(3); --根据yy寄存器数据,输出对应的载波 end behav;
4 QPSK解调电路的FPGA设计
4.1QPSK解调电路的设计
FPGA CLK 计数器 Start 调制信号 译码1 加法器 译码2 并/串转换 基带信号
图4.1 QPSK解调电路方框图
- 11 -
当调制为低电平时,译码器1根据记数器输出值,送入加法器相应的数据。加法器把运算结果送到寄存器, 译码器2根据寄存器数据通过译码,输出两位并行信号,该信号再通过并/串转换即可得到解调后的基带信号,调制框图如图4.1所示。
4.2 基于FPGA的解调电路VHDL程序
entity demodulation is
port(clk :in std_logic; --系统时钟 start :in std_logic; --同步信号 x :in std_logic; --调制信号 y :out std_logic); --基带信号 end demodulation;
architecture behav of demodulation is
signal q:integer range 0 to 7; --计数器 signal xx:std_logic_vector(2 downto 0); --加法器 signal yyy:std_logic_vector(1 downto 0); --2位并行基代信号寄存器 signal yy:std_logic_vector(2 down to 0); --寄存xx数据 begin process(clk) begin
if clk'event and clk='1' then if start='0' then q<=0;
else if q=0 then q<=1;yy<=xx; y<=yyy(0); --把加法计数器的数据送入yy寄存器
if x='0' then xx<=\"001\"; --调制信号x为低电平时,送入加法器的数据“001” else xx<=\"000\"; end if;
elsif q=2 then q<=3;
if x='0' then xx<=xx+\"001\"; --调制信号x为低电平时,送入加
- 12 -
法器的数据“001” end if;
elsif q=4 then q<=5; y<=yyy(1);
if x='0' then xx<=xx+\"010\"; --调制信号x为低电平时,送入加法器的数据“010” end if;
elsif q=6 then q<=7;
if x='0' then xx<=xx+\"011\"; --调制信号x为低电平时,送入加法器的数据“011” end if; else q<=q+1; end if; end if; end process;
process(yy) --此进程根据yy寄存器里的数据进行译码 begin
if clk='1' and clk'event then
if yy=\"101\" then yyy<=\"00\"; --yy寄存器“101”对应基带码“00”
elsif yy=\"011\" then yyy<=\"01\"; --yy寄存器“011”对应基带码“01”
elsif yy=\"010\" then yyy<=\"10\"; --yy寄存器“010”对应基带码“10”
elsif yy=\"100\" then yyy<=\"11\"; --yy寄存器“100”对应基带码“11”
else yyy<=\"00\"; end if; end if;
- 13 -
end process; end behav;
5 结束语
多进制数字调制技术与FPGA的结合使得通信系统的性能得到了迅速的提高。本文基于FPGA实现了QPSK调制解调电路,该设计对其它类型的调制解调电路也有一定的参考作用。
本文创新观点:文中基于FPGA方式实现了QPSK数字调制解调电路的设计它比传统的模拟调制方式有着显著的优越性.通信链路中的任何不足均可以借助于软件根除,可以实现信息加密,而且还可以通过相应的误差校准技术,接收到数据准确性更高。此外,本文采用了相位选择法进QPSK解调设计,用的调相解调法相比,设计更简单,更适合于FPGA实现,系统的可靠性也更高。
- 14 -
参考文献
[1] 樊昌信,通信原理教程.北京.电子工业出版社.2005:145~152 [2] 杨大柱.基于FPGA的QPSK调制解调电路设计与实现.微计算机信息,2007(8):2~4
[3] 黄智伟.FPGA系统设计与实践.北京:电子工业出版社,2005(4):88~92
[4] 维龙.姚晓玲. 基于FPGA的QPSK调制器的设计与实现.2008(10):10~14
[5] 赖昭胜,管立新,曾祥华.QPSK高性能数字调制器的FPGA实现 微计算机信息,2005(12):3~4
[6] 褚振勇.FPGA设计及应用.西安.西安电子科技大学出版社.2006(12):92~132
- 15 -
致谢
本文是在我的导师刘可文教授的悉心指导下完成的,在此对刘老师致以最诚挚的敬意和衷心的感谢。刘老师宽广的专业知识、严谨认真的治学态度和谦虚质朴的待人风格,给我留下深刻的印象,使我获益匪浅,感受良深。
感谢所有关心我的同学和朋友在学习上的指导和生活中的帮助! 感谢父母在学习期间中给予我的无私关怀和鼓励!
- 16 -
因篇幅问题不能全部显示,请点此查看更多更全内容